CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - des vhdl

搜索资源列表

  1. DES.zip

    0下载:
  2. DES 加密算法的实现,使用硬件描述语言VHDL编写,DES encryption algorithm realization, uses hardware descr iption language VHDL to compile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:24153
    • 提供者:zfhustb
  1. rom_des

    0下载:
  2. DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。-VHDL and VERILOG sourcecode and TESTBENCH of DES encrypting algorithm
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-12
    • 文件大小:30807
    • 提供者:
  1. FPGA

    1下载:
  2. 此课件是基于FPGA的加密芯片设计实例,DES的FPGA实现,包括DES加密算法简述,DES的伪代码描述,设计流程,运算电路模型设计,算法程序设计 -The courseware is based on the FPGA chip design example of encryption, DES for FPGA implementation, including the DES encryption algorithm briefly, DES pseudo-code descr ipt
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-06-22
    • 文件大小:3852564
    • 提供者:betty
  1. LIP1601CORE_des_3des

    0下载:
  2. DES & 3DES VHDL & Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7723421
    • 提供者:jc
  1. tcdg.vhdl

    0下载:
  2. des vhld 源码 程序完成了DES的编码和解码功能-des vhld source procedures completed DES encoding and decoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:5704
    • 提供者:王亮
  1. DES101

    0下载:
  2. 数据加密算法(Data Encryption Algorithm,DEA)的数据加密标准(Data Encryption Standard,DES)是规范的描述,它出自 IBM 的研究工作,并在 1997 年被美国政府正式采纳。它很可能是使用最广泛的秘钥系统,特别是在保护金融数据的安全中,最初开发的 DES 是嵌入硬 件中的。通常,自动取款机(Automated Teller Machine,ATM)都使用 DES。文件是DES代码的VHDL描述 -Data encryption algor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:677515
    • 提供者:
  1. core3DES

    0下载:
  2. Full Des Simulation Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1887267
    • 提供者:esl
  1. DES_report1

    0下载:
  2. The Data Encryption Standard (DES) is a published federal encryption standard created to protect unclassified computer data and communications. The DES algorithm is the most widely used encryption algorithm in the world
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1264527
    • 提供者:sandeep
  1. DESHTM

    0下载:
  2. 用VHDL语言实现了DES加密算法,其中包含了测试程序,能够进行仿真。-Using VHDL language implementation of the DES encryption algorithm, which contains the test procedures can be simulated.
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:9449
    • 提供者:心飞扬
  1. DES_IP

    0下载:
  2. 有效的改进3-DES算法的执行速度,采用了多级流水线技术,设计了一种高速的硬件结构,使得原来需要48个时钟周期才能完成的运算,现在只需要一个时钟周期就可以完成。另外通过增加输入/输出的控制信号。使得该IP可以方便的集成到SOC中,大大缩短了SOC的设计周期。-Effective 3-DES algorithm to improve the implementation of speed, multi-stage pipeline technology, designed a high-speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:23417
    • 提供者:charity
  1. XAPP270

    0下载:
  2. High-Speed DES and Triple DES Encryptor Decryptor
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-24
    • 文件大小:32705
    • 提供者:ryan
  1. 3des_vhdl

    0下载:
  2. DES-3 VHDL Code implemented on FPGA ,including related document
  3. 所属分类:CA program

  1. DES

    0下载:
  2. DES算法的FPGA实现 希望能有用 。-DES algorithm can be useful to achieve the desired FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:399477
    • 提供者:house2
  1. des

    0下载:
  2. this is des code of vhdl version.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3227
    • 提供者:bluedkdk
  1. DES

    0下载:
  2. DES加密算法的VHDL实现,采用流水线技术实现-The VHDL implement of DES encrypt algorithmic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17718704
    • 提供者:Mr Yang
  1. d100

    0下载:
  2. des开源实现,vhdl文件格式. des 1-des design
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:10213
    • 提供者:richard
  1. DES-HDL

    0下载:
  2. 用HDL实现的DES加密算法,通过前仿真,希望对大家有帮助-HDL implementation of the DES with the encryption algorithm, by pre-simulation, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27714
    • 提供者:su
  1. des

    0下载:
  2. des解密加密的verilog源代码其中包含有测试源代码,仿真结果图-verilog des decrypt encrypted source code which includes testing the source code, Simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:342839
    • 提供者:cong
  1. des

    2下载:
  2. VHDL实现的DES密码算法的完整的加解密。-DES
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-12
    • 文件大小:7213
    • 提供者:duzhibo
  1. DES

    0下载:
  2. 主要是一个DES加密程序,用VHDL语言进行开发实现-no
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4555
    • 提供者:sfds
« 12 3 4 »
搜珍网 www.dssz.com